# #################################################################### # Constraints script for 32-bit updown counter # Author: Hetaswi Vankani (hetaswi@vt.edu) # Last Modified : October 31, 2013 # #################################################################### # Set time unit #set_time_unit -nanoseconds # Create clock to drive clock pin create_clock -name {clk_in} -period 1 -waveform {0.0 0.5} [get_ports {clk_in}] # Do not optimize reset set_false_path -from [get_ports {reset_in}]