module updown_counter ( count_out, up_down_in, clk_in, reset_in ); output [31:0] count_out; input [1:0] up_down_in; input clk_in, reset_in; wire [31:0] count; wire [1:0] up_down; wire clk, reset; wire N71, N72, N73, N74, N75, N76, N77, N78, N79, N80, N81, N82, N83, N84, N85, N86, N87, N88, N89, N90, N91, N92, N93, N94, N95, N96, N97, N98, N99, N100, N101, C1_DATA2_0 , C1_DATA2_8 , C1_DATA2_23 , C1_DATA2_24 , C1_DATA2_25 , C1_DATA2_26 , C1_DATA2_27 , C1_DATA2_28 , C1_DATA2_29 , C1_DATA2_30 , DP_OP_13_311_5088_n192 , DP_OP_13_311_5088_n153 , DP_OP_13_311_5088_n149 , DP_OP_13_311_5088_n132 , DP_OP_13_311_5088_n131 , DP_OP_13_311_5088_n130 , DP_OP_13_311_5088_n129 , DP_OP_13_311_5088_n128 , DP_OP_13_311_5088_n127 , DP_OP_13_311_5088_n125 , DP_OP_13_311_5088_n124 , DP_OP_13_311_5088_n123 , DP_OP_13_311_5088_n122 , DP_OP_13_311_5088_n121 , DP_OP_13_311_5088_n120 , DP_OP_13_311_5088_n119 , DP_OP_13_311_5088_n118 , DP_OP_13_311_5088_n114 , DP_OP_13_311_5088_n113 , DP_OP_13_311_5088_n112 , DP_OP_13_311_5088_n111 , DP_OP_13_311_5088_n110 , DP_OP_13_311_5088_n109 , DP_OP_13_311_5088_n108 , DP_OP_13_311_5088_n106 , DP_OP_13_311_5088_n105 , DP_OP_13_311_5088_n104 , DP_OP_13_311_5088_n103 , DP_OP_13_311_5088_n102 , DP_OP_13_311_5088_n101 , DP_OP_13_311_5088_n100 , DP_OP_13_311_5088_n99 , DP_OP_13_311_5088_n98 , DP_OP_13_311_5088_n97 , DP_OP_13_311_5088_n95 , DP_OP_13_311_5088_n94 , DP_OP_13_311_5088_n93 , DP_OP_13_311_5088_n92 , DP_OP_13_311_5088_n91 , DP_OP_13_311_5088_n90 , DP_OP_13_311_5088_n89 , DP_OP_13_311_5088_n88 , DP_OP_13_311_5088_n87 , DP_OP_13_311_5088_n85 , DP_OP_13_311_5088_n83 , DP_OP_13_311_5088_n82 , DP_OP_13_311_5088_n81 , DP_OP_13_311_5088_n80 , DP_OP_13_311_5088_n79 , DP_OP_13_311_5088_n78 , DP_OP_13_311_5088_n76 , DP_OP_13_311_5088_n75 , DP_OP_13_311_5088_n74 , DP_OP_13_311_5088_n73 , DP_OP_13_311_5088_n72 , DP_OP_13_311_5088_n71 , DP_OP_13_311_5088_n70 , DP_OP_13_311_5088_n69 , DP_OP_13_311_5088_n68 , DP_OP_13_311_5088_n64 , DP_OP_13_311_5088_n63 , DP_OP_13_311_5088_n62 , DP_OP_13_311_5088_n61 , DP_OP_13_311_5088_n60 , DP_OP_13_311_5088_n58 , DP_OP_13_311_5088_n56 , DP_OP_13_311_5088_n55 , DP_OP_13_311_5088_n54 , DP_OP_13_311_5088_n53 , DP_OP_13_311_5088_n52 , DP_OP_13_311_5088_n48 , DP_OP_13_311_5088_n47 , DP_OP_13_311_5088_n46 , DP_OP_13_311_5088_n45 , DP_OP_13_311_5088_n44 , DP_OP_13_311_5088_n40 , DP_OP_13_311_5088_n39 , DP_OP_13_311_5088_n38 , DP_OP_13_311_5088_n37 , DP_OP_13_311_5088_n36 , DP_OP_13_311_5088_n34 , DP_OP_13_311_5088_n31 , DP_OP_13_311_5088_n30 , DP_OP_13_311_5088_n29 , DP_OP_13_311_5088_n28 , DP_OP_13_311_5088_n27 , DP_OP_13_311_5088_n26 , DP_OP_13_311_5088_n25 , DP_OP_13_311_5088_n24 , DP_OP_13_311_5088_n16 , n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44, n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59, n60, n61, n62, n63, n64, n65, n66, n67, n68; DFCNQD1 count_reg_31_ ( .D(N101), .CP(clk), .CDN(n68), .Q(count[31]) ); DFCNQD1 count_reg_30_ ( .D(N100), .CP(clk), .CDN(n68), .Q(count[30]) ); DFCNQD1 count_reg_29_ ( .D(N99), .CP(clk), .CDN(n68), .Q(count[29]) ); DFCNQD1 count_reg_28_ ( .D(N98), .CP(clk), .CDN(n68), .Q(count[28]) ); DFCNQD1 count_reg_27_ ( .D(N97), .CP(clk), .CDN(n68), .Q(count[27]) ); DFCNQD1 count_reg_26_ ( .D(N96), .CP(clk), .CDN(n68), .Q(count[26]) ); DFCNQD1 count_reg_25_ ( .D(N95), .CP(clk), .CDN(n68), .Q(count[25]) ); DFCNQD1 count_reg_24_ ( .D(N94), .CP(clk), .CDN(n68), .Q(count[24]) ); DFCNQD1 count_reg_23_ ( .D(N93), .CP(clk), .CDN(n68), .Q(count[23]) ); DFCNQD1 count_reg_22_ ( .D(N92), .CP(clk), .CDN(n68), .Q(count[22]) ); DFCNQD1 count_reg_21_ ( .D(N91), .CP(clk), .CDN(n68), .Q(count[21]) ); DFCNQD1 count_reg_20_ ( .D(N90), .CP(clk), .CDN(n68), .Q(count[20]) ); DFCNQD1 count_reg_19_ ( .D(N89), .CP(clk), .CDN(n68), .Q(count[19]) ); DFCNQD1 count_reg_18_ ( .D(N88), .CP(clk), .CDN(n68), .Q(count[18]) ); DFCNQD1 count_reg_17_ ( .D(N87), .CP(clk), .CDN(n68), .Q(count[17]) ); DFCNQD1 count_reg_16_ ( .D(N86), .CP(clk), .CDN(n68), .Q(count[16]) ); DFCNQD1 count_reg_15_ ( .D(N85), .CP(clk), .CDN(n68), .Q(count[15]) ); DFCNQD1 count_reg_14_ ( .D(N84), .CP(clk), .CDN(n68), .Q(count[14]) ); DFCNQD1 count_reg_13_ ( .D(N83), .CP(clk), .CDN(n68), .Q(count[13]) ); DFCNQD1 count_reg_12_ ( .D(N82), .CP(clk), .CDN(n68), .Q(count[12]) ); DFCNQD1 count_reg_11_ ( .D(N81), .CP(clk), .CDN(n68), .Q(count[11]) ); DFCNQD1 count_reg_10_ ( .D(N80), .CP(clk), .CDN(n68), .Q(count[10]) ); DFCNQD1 count_reg_9_ ( .D(N79), .CP(clk), .CDN(n68), .Q(count[9]) ); DFCNQD1 count_reg_8_ ( .D(N78), .CP(clk), .CDN(n68), .Q(count[8]) ); DFCNQD1 count_reg_7_ ( .D(N77), .CP(clk), .CDN(n68), .Q(count[7]) ); DFCNQD1 count_reg_6_ ( .D(N76), .CP(clk), .CDN(n68), .Q(count[6]) ); DFCNQD1 count_reg_5_ ( .D(N75), .CP(clk), .CDN(n68), .Q(count[5]) ); DFCNQD1 count_reg_4_ ( .D(N74), .CP(clk), .CDN(n68), .Q(count[4]) ); DFCNQD1 count_reg_3_ ( .D(N73), .CP(clk), .CDN(n68), .Q(count[3]) ); DFCNQD1 count_reg_2_ ( .D(N72), .CP(clk), .CDN(n68), .Q(count[2]) ); DFCNQD1 count_reg_1_ ( .D(N71), .CP(clk), .CDN(n68), .Q(count[1]) ); DFCNQD1 count_reg_0_ ( .D(n59), .CP(clk), .CDN(n68), .Q(count[0]) ); OAI21D1 DP_OP_13_311_5088_U174 ( .A1(DP_OP_13_311_5088_n131 ), .A2( C1_DATA2_0 ), .B(DP_OP_13_311_5088_n132 ), .ZN( DP_OP_13_311_5088_n130 ) ); OAI21D1 DP_OP_13_311_5088_U161 ( .A1(DP_OP_13_311_5088_n124 ), .A2( DP_OP_13_311_5088_n128 ), .B(DP_OP_13_311_5088_n125 ), .ZN( DP_OP_13_311_5088_n123 ) ); AOI21D1 DP_OP_13_311_5088_U159 ( .A1(DP_OP_13_311_5088_n122 ), .A2( DP_OP_13_311_5088_n130 ), .B(DP_OP_13_311_5088_n123 ), .ZN( DP_OP_13_311_5088_n121 ) ); OAI21D1 DP_OP_13_311_5088_U144 ( .A1(DP_OP_13_311_5088_n113 ), .A2( DP_OP_13_311_5088_n119 ), .B(DP_OP_13_311_5088_n114 ), .ZN( DP_OP_13_311_5088_n112 ) ); OAI21D1 DP_OP_13_311_5088_U130 ( .A1(DP_OP_13_311_5088_n105 ), .A2( DP_OP_13_311_5088_n109 ), .B(DP_OP_13_311_5088_n106 ), .ZN( DP_OP_13_311_5088_n104 ) ); AOI21D1 DP_OP_13_311_5088_U128 ( .A1(DP_OP_13_311_5088_n103 ), .A2( DP_OP_13_311_5088_n112 ), .B(DP_OP_13_311_5088_n104 ), .ZN( DP_OP_13_311_5088_n102 ) ); OAI21D1 DP_OP_13_311_5088_U126 ( .A1(DP_OP_13_311_5088_n121 ), .A2( DP_OP_13_311_5088_n101 ), .B(DP_OP_13_311_5088_n102 ), .ZN( DP_OP_13_311_5088_n100 ) ); OAI21D1 DP_OP_13_311_5088_U113 ( .A1(DP_OP_13_311_5088_n94 ), .A2( DP_OP_13_311_5088_n98 ), .B(DP_OP_13_311_5088_n95 ), .ZN( DP_OP_13_311_5088_n93 ) ); OAI21D1 DP_OP_13_311_5088_U95 ( .A1(DP_OP_13_311_5088_n82 ), .A2( DP_OP_13_311_5088_n88 ), .B(DP_OP_13_311_5088_n83 ), .ZN( DP_OP_13_311_5088_n81 ) ); AOI21D1 DP_OP_13_311_5088_U93 ( .A1(DP_OP_13_311_5088_n80 ), .A2( DP_OP_13_311_5088_n93 ), .B(DP_OP_13_311_5088_n81 ), .ZN( DP_OP_13_311_5088_n79 ) ); OAI21D1 DP_OP_13_311_5088_U85 ( .A1(DP_OP_13_311_5088_n79 ), .A2( DP_OP_13_311_5088_n75 ), .B(DP_OP_13_311_5088_n76 ), .ZN( DP_OP_13_311_5088_n74 ) ); AOI21D1 DP_OP_13_311_5088_U83 ( .A1(DP_OP_13_311_5088_n100 ), .A2( DP_OP_13_311_5088_n73 ), .B(DP_OP_13_311_5088_n74 ), .ZN( DP_OP_13_311_5088_n72 ) ); OAI21D1 DP_OP_13_311_5088_U77 ( .A1(DP_OP_13_311_5088_n72 ), .A2( DP_OP_13_311_5088_n70 ), .B(DP_OP_13_311_5088_n71 ), .ZN( DP_OP_13_311_5088_n69 ) ); OAI21D1 DP_OP_13_311_5088_U63 ( .A1(DP_OP_13_311_5088_n64 ), .A2( DP_OP_13_311_5088_n62 ), .B(DP_OP_13_311_5088_n63 ), .ZN( DP_OP_13_311_5088_n61 ) ); AOI21D1 DP_OP_13_311_5088_U55 ( .A1(DP_OP_13_311_5088_n61 ), .A2(n64), .B(DP_OP_13_311_5088_n58 ), .ZN(DP_OP_13_311_5088_n56 ) ); OAI21D1 DP_OP_13_311_5088_U49 ( .A1(DP_OP_13_311_5088_n56 ), .A2( DP_OP_13_311_5088_n54 ), .B(DP_OP_13_311_5088_n55 ), .ZN( DP_OP_13_311_5088_n53 ) ); OAI21D1 DP_OP_13_311_5088_U35 ( .A1(DP_OP_13_311_5088_n48 ), .A2( DP_OP_13_311_5088_n46 ), .B(DP_OP_13_311_5088_n47 ), .ZN( DP_OP_13_311_5088_n45 ) ); OAI21D1 DP_OP_13_311_5088_U21 ( .A1(DP_OP_13_311_5088_n40 ), .A2( DP_OP_13_311_5088_n38 ), .B(DP_OP_13_311_5088_n39 ), .ZN( DP_OP_13_311_5088_n37 ) ); AOI21D1 DP_OP_13_311_5088_U142 ( .A1(DP_OP_13_311_5088_n120 ), .A2( DP_OP_13_311_5088_n111 ), .B(DP_OP_13_311_5088_n112 ), .ZN( DP_OP_13_311_5088_n110 ) ); NR2D1 DP_OP_13_311_5088_U170 ( .A1(count[2]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n127 ) ); NR2D1 DP_OP_13_311_5088_U160 ( .A1(DP_OP_13_311_5088_n127 ), .A2( DP_OP_13_311_5088_n124 ), .ZN(DP_OP_13_311_5088_n122 ) ); NR2D1 DP_OP_13_311_5088_U177 ( .A1(count[1]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n131 ) ); NR2D1 DP_OP_13_311_5088_U155 ( .A1(count[4]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n118 ) ); NR2D1 DP_OP_13_311_5088_U143 ( .A1(DP_OP_13_311_5088_n118 ), .A2( DP_OP_13_311_5088_n113 ), .ZN(DP_OP_13_311_5088_n111 ) ); NR2D1 DP_OP_13_311_5088_U133 ( .A1(count[7]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n105 ) ); NR2D1 DP_OP_13_311_5088_U129 ( .A1(DP_OP_13_311_5088_n108 ), .A2( DP_OP_13_311_5088_n105 ), .ZN(DP_OP_13_311_5088_n103 ) ); NR2D1 DP_OP_13_311_5088_U122 ( .A1(count[8]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n97 ) ); NR2D1 DP_OP_13_311_5088_U116 ( .A1(count[9]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n94 ) ); NR2D1 DP_OP_13_311_5088_U112 ( .A1(DP_OP_13_311_5088_n97 ), .A2( DP_OP_13_311_5088_n94 ), .ZN(DP_OP_13_311_5088_n92 ) ); NR2D1 DP_OP_13_311_5088_U106 ( .A1(count[10]), .A2( DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n87 ) ); NR2D1 DP_OP_13_311_5088_U98 ( .A1(count[11]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n82 ) ); NR2D1 DP_OP_13_311_5088_U94 ( .A1(DP_OP_13_311_5088_n87 ), .A2( DP_OP_13_311_5088_n82 ), .ZN(DP_OP_13_311_5088_n80 ) ); NR2D1 DP_OP_13_311_5088_U84 ( .A1(DP_OP_13_311_5088_n78 ), .A2( DP_OP_13_311_5088_n75 ), .ZN(DP_OP_13_311_5088_n73 ) ); ND2D1 DP_OP_13_311_5088_U117 ( .A1(count[9]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n95 ) ); ND2D1 DP_OP_13_311_5088_U99 ( .A1(count[11]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n83 ) ); NR2D1 DP_OP_13_311_5088_U80 ( .A1(count[13]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n70 ) ); NR2D1 DP_OP_13_311_5088_U66 ( .A1(count[15]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n62 ) ); NR2D1 DP_OP_13_311_5088_U52 ( .A1(count[17]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n54 ) ); NR2D1 DP_OP_13_311_5088_U38 ( .A1(count[19]), .A2(DP_OP_13_311_5088_n192 ), .ZN(DP_OP_13_311_5088_n46 ) ); CKND2D1 DP_OP_13_311_5088_U92 ( .A1(DP_OP_13_311_5088_n92 ), .A2( DP_OP_13_311_5088_n80 ), .ZN(DP_OP_13_311_5088_n78 ) ); CKND2D1 DP_OP_13_311_5088_U120 ( .A1(DP_OP_13_311_5088_n149 ), .A2( DP_OP_13_311_5088_n98 ), .ZN(DP_OP_13_311_5088_n16 ) ); CKND2D1 DP_OP_13_311_5088_U127 ( .A1(DP_OP_13_311_5088_n111 ), .A2( DP_OP_13_311_5088_n103 ), .ZN(DP_OP_13_311_5088_n101 ) ); XOR2D0 DP_OP_13_311_5088_U118 ( .A1(DP_OP_13_311_5088_n99 ), .A2( DP_OP_13_311_5088_n16 ), .Z(C1_DATA2_8 ) ); FA1D1 DP_OP_13_311_5088_U10 ( .A(DP_OP_13_311_5088_n192 ), .B(count[23]), .CI(n60), .CO(DP_OP_13_311_5088_n31 ), .S(C1_DATA2_23 ) ); FA1D1 DP_OP_13_311_5088_U9 ( .A(DP_OP_13_311_5088_n192 ), .B(count[24]), .CI(DP_OP_13_311_5088_n31 ), .CO(DP_OP_13_311_5088_n30 ), .S( C1_DATA2_24 ) ); FA1D1 DP_OP_13_311_5088_U8 ( .A(DP_OP_13_311_5088_n192 ), .B(count[25]), .CI(DP_OP_13_311_5088_n30 ), .CO(DP_OP_13_311_5088_n29 ), .S( C1_DATA2_25 ) ); ND2D1 U39 ( .A1(count[8]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n98 ) ); ND2D1 U40 ( .A1(count[15]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n63 ) ); ND2D1 U41 ( .A1(count[16]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n60 ) ); ND2D1 U42 ( .A1(count[21]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n39 ) ); NR2D1 U43 ( .A1(count[21]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n38 ) ); ND2D1 U44 ( .A1(count[22]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n36 ) ); ND2D1 U45 ( .A1(count[1]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n132 ) ); INVD1 U46 ( .I(count[0]), .ZN(C1_DATA2_0 ) ); ND2D1 U47 ( .A1(count[3]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n125 ) ); NR2D1 U48 ( .A1(count[3]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n124 ) ); ND2D1 U49 ( .A1(count[2]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n128 ) ); ND2D1 U50 ( .A1(count[5]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n114 ) ); NR2D1 U51 ( .A1(count[5]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n113 ) ); ND2D1 U52 ( .A1(count[4]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n119 ) ); ND2D1 U53 ( .A1(count[7]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n106 ) ); NR2D1 U54 ( .A1(count[6]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n108 ) ); ND2D1 U55 ( .A1(count[6]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n109 ) ); ND2D1 U56 ( .A1(count[10]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n88 ) ); OAI21D1 U57 ( .A1(DP_OP_13_311_5088_n99 ), .A2(DP_OP_13_311_5088_n90 ), .B(DP_OP_13_311_5088_n91 ), .ZN(DP_OP_13_311_5088_n89 ) ); ND2D1 U58 ( .A1(count[12]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n76 ) ); NR2D1 U59 ( .A1(count[12]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n75 ) ); ND2D1 U60 ( .A1(count[13]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n71 ) ); ND2D1 U61 ( .A1(count[14]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n68 ) ); INVD1 U62 ( .I(DP_OP_13_311_5088_n60 ), .ZN(DP_OP_13_311_5088_n58 ) ); ND2D1 U63 ( .A1(count[17]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n55 ) ); ND2D1 U64 ( .A1(count[18]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n52 ) ); ND2D1 U65 ( .A1(count[19]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n47 ) ); ND2D1 U66 ( .A1(count[20]), .A2(DP_OP_13_311_5088_n192 ), .ZN( DP_OP_13_311_5088_n44 ) ); INVD1 U67 ( .I(DP_OP_13_311_5088_n36 ), .ZN(DP_OP_13_311_5088_n34 ) ); FA1D0 U68 ( .A(DP_OP_13_311_5088_n192 ), .B(count[26]), .CI( DP_OP_13_311_5088_n29 ), .CO(DP_OP_13_311_5088_n28 ), .S( C1_DATA2_26 ) ); FA1D0 U69 ( .A(DP_OP_13_311_5088_n192 ), .B(count[27]), .CI( DP_OP_13_311_5088_n28 ), .CO(DP_OP_13_311_5088_n27 ), .S( C1_DATA2_27 ) ); FA1D0 U70 ( .A(DP_OP_13_311_5088_n192 ), .B(count[28]), .CI( DP_OP_13_311_5088_n27 ), .CO(DP_OP_13_311_5088_n26 ), .S( C1_DATA2_28 ) ); FA1D0 U71 ( .A(DP_OP_13_311_5088_n192 ), .B(count[29]), .CI( DP_OP_13_311_5088_n26 ), .CO(DP_OP_13_311_5088_n25 ), .S( C1_DATA2_29 ) ); NR2D2 U72 ( .A1(up_down[0]), .A2(n66), .ZN(DP_OP_13_311_5088_n192 ) ); INVD1 U73 ( .I(up_down[1]), .ZN(n66) ); FA1D0 U74 ( .A(DP_OP_13_311_5088_n192 ), .B(count[30]), .CI( DP_OP_13_311_5088_n25 ), .CO(DP_OP_13_311_5088_n24 ), .S( C1_DATA2_30 ) ); INR2D1 U75 ( .A1(C1_DATA2_23 ), .B1(n67), .ZN(N93) ); INR2D1 U76 ( .A1(C1_DATA2_24 ), .B1(n67), .ZN(N94) ); INR2D1 U77 ( .A1(C1_DATA2_25 ), .B1(n67), .ZN(N95) ); INR2D1 U78 ( .A1(C1_DATA2_26 ), .B1(n67), .ZN(N96) ); INR2D1 U79 ( .A1(C1_DATA2_27 ), .B1(n67), .ZN(N97) ); INR2D1 U80 ( .A1(C1_DATA2_28 ), .B1(n67), .ZN(N98) ); INR2D1 U81 ( .A1(C1_DATA2_29 ), .B1(n67), .ZN(N99) ); INR2D1 U82 ( .A1(C1_DATA2_30 ), .B1(n67), .ZN(N100) ); CKND0 U83 ( .I(DP_OP_13_311_5088_n52 ), .ZN(n5) ); AOI21D0 U84 ( .A1(n63), .A2(DP_OP_13_311_5088_n53 ), .B(n5), .ZN( DP_OP_13_311_5088_n48 ) ); AN2D0 U85 ( .A1(DP_OP_13_311_5088_n119 ), .A2(DP_OP_13_311_5088_n153 ), .Z(n6) ); NR2D0 U86 ( .A1(n6), .A2(DP_OP_13_311_5088_n120 ), .ZN(n7) ); AOI211D0 U87 ( .A1(n6), .A2(DP_OP_13_311_5088_n120 ), .B(n67), .C(n7), .ZN( N74) ); OAI21D0 U88 ( .A1(DP_OP_13_311_5088_n97 ), .A2(DP_OP_13_311_5088_n99 ), .B(DP_OP_13_311_5088_n98 ), .ZN(n8) ); INR2D0 U89 ( .A1(DP_OP_13_311_5088_n95 ), .B1(DP_OP_13_311_5088_n94 ), .ZN(n9) ); NR2D0 U90 ( .A1(n8), .A2(n9), .ZN(n10) ); AOI211D0 U91 ( .A1(n8), .A2(n9), .B(n67), .C(n10), .ZN(N79) ); CKND0 U92 ( .I(DP_OP_13_311_5088_n68 ), .ZN(n11) ); AOI21D0 U93 ( .A1(n65), .A2(DP_OP_13_311_5088_n69 ), .B(n11), .ZN( DP_OP_13_311_5088_n64 ) ); CKND0 U94 ( .I(DP_OP_13_311_5088_n44 ), .ZN(n12) ); AOI21D0 U95 ( .A1(n62), .A2(DP_OP_13_311_5088_n45 ), .B(n12), .ZN( DP_OP_13_311_5088_n40 ) ); AN2D0 U96 ( .A1(DP_OP_13_311_5088_n88 ), .A2(DP_OP_13_311_5088_n85 ), .Z( n13) ); NR2D0 U97 ( .A1(n13), .A2(DP_OP_13_311_5088_n89 ), .ZN(n14) ); AOI211D0 U98 ( .A1(n13), .A2(DP_OP_13_311_5088_n89 ), .B(n67), .C(n14), .ZN(N80) ); IOA21D0 U99 ( .A1(DP_OP_13_311_5088_n153 ), .A2(DP_OP_13_311_5088_n120 ), .B(DP_OP_13_311_5088_n119 ), .ZN(n15) ); INR2D0 U100 ( .A1(DP_OP_13_311_5088_n114 ), .B1(DP_OP_13_311_5088_n113 ), .ZN(n16) ); NR2D0 U101 ( .A1(n15), .A2(n16), .ZN(n17) ); AOI211D0 U102 ( .A1(n15), .A2(n16), .B(n67), .C(n17), .ZN(N75) ); IND2D0 U103 ( .A1(DP_OP_13_311_5088_n70 ), .B1(DP_OP_13_311_5088_n71 ), .ZN(n18) ); NR2D0 U104 ( .A1(DP_OP_13_311_5088_n72 ), .A2(n18), .ZN(n19) ); AOI211D0 U105 ( .A1(DP_OP_13_311_5088_n72 ), .A2(n18), .B(n67), .C(n19), .ZN(N83) ); IND2D0 U106 ( .A1(DP_OP_13_311_5088_n62 ), .B1(DP_OP_13_311_5088_n63 ), .ZN(n20) ); NR2D0 U107 ( .A1(DP_OP_13_311_5088_n64 ), .A2(n20), .ZN(n21) ); AOI211D0 U108 ( .A1(DP_OP_13_311_5088_n64 ), .A2(n20), .B(n67), .C(n21), .ZN(N85) ); IND2D0 U109 ( .A1(DP_OP_13_311_5088_n54 ), .B1(DP_OP_13_311_5088_n55 ), .ZN(n22) ); NR2D0 U110 ( .A1(DP_OP_13_311_5088_n56 ), .A2(n22), .ZN(n23) ); AOI211D0 U111 ( .A1(DP_OP_13_311_5088_n56 ), .A2(n22), .B(n67), .C(n23), .ZN(N87) ); IND2D0 U112 ( .A1(DP_OP_13_311_5088_n46 ), .B1(DP_OP_13_311_5088_n47 ), .ZN(n24) ); NR2D0 U113 ( .A1(DP_OP_13_311_5088_n48 ), .A2(n24), .ZN(n25) ); AOI211D0 U114 ( .A1(DP_OP_13_311_5088_n48 ), .A2(n24), .B(n67), .C(n25), .ZN(N89) ); IND2D0 U115 ( .A1(DP_OP_13_311_5088_n38 ), .B1(DP_OP_13_311_5088_n39 ), .ZN(n26) ); NR2D0 U116 ( .A1(DP_OP_13_311_5088_n40 ), .A2(n26), .ZN(n27) ); AOI211D0 U117 ( .A1(DP_OP_13_311_5088_n40 ), .A2(n26), .B(n67), .C(n27), .ZN(N91) ); INR2D0 U118 ( .A1(C1_DATA2_0 ), .B1(n67), .ZN(n59) ); IND2D0 U119 ( .A1(DP_OP_13_311_5088_n127 ), .B1(DP_OP_13_311_5088_n128 ), .ZN(n28) ); NR2D0 U120 ( .A1(DP_OP_13_311_5088_n129 ), .A2(n28), .ZN(n29) ); AOI211D0 U121 ( .A1(DP_OP_13_311_5088_n129 ), .A2(n28), .B(n67), .C(n29), .ZN(N72) ); IND2D0 U122 ( .A1(DP_OP_13_311_5088_n108 ), .B1(DP_OP_13_311_5088_n109 ), .ZN(n30) ); NR2D0 U123 ( .A1(DP_OP_13_311_5088_n110 ), .A2(n30), .ZN(n31) ); AOI211D0 U124 ( .A1(DP_OP_13_311_5088_n110 ), .A2(n30), .B(n67), .C(n31), .ZN(N76) ); IOA21D0 U125 ( .A1(DP_OP_13_311_5088_n85 ), .A2(DP_OP_13_311_5088_n89 ), .B(DP_OP_13_311_5088_n88 ), .ZN(n32) ); INR2D0 U126 ( .A1(DP_OP_13_311_5088_n83 ), .B1(DP_OP_13_311_5088_n82 ), .ZN(n33) ); NR2D0 U127 ( .A1(n32), .A2(n33), .ZN(n34) ); AOI211D0 U128 ( .A1(n32), .A2(n33), .B(n67), .C(n34), .ZN(N81) ); AN2D0 U129 ( .A1(DP_OP_13_311_5088_n68 ), .A2(n65), .Z(n35) ); NR2D0 U130 ( .A1(n35), .A2(DP_OP_13_311_5088_n69 ), .ZN(n36) ); AOI211D0 U131 ( .A1(n35), .A2(DP_OP_13_311_5088_n69 ), .B(n67), .C(n36), .ZN(N84) ); AN2D0 U132 ( .A1(DP_OP_13_311_5088_n60 ), .A2(n64), .Z(n37) ); NR2D0 U133 ( .A1(n37), .A2(DP_OP_13_311_5088_n61 ), .ZN(n38) ); AOI211D0 U134 ( .A1(n37), .A2(DP_OP_13_311_5088_n61 ), .B(n67), .C(n38), .ZN(N86) ); AN2D0 U135 ( .A1(DP_OP_13_311_5088_n52 ), .A2(n63), .Z(n39) ); NR2D0 U136 ( .A1(n39), .A2(DP_OP_13_311_5088_n53 ), .ZN(n40) ); AOI211D0 U137 ( .A1(n39), .A2(DP_OP_13_311_5088_n53 ), .B(n67), .C(n40), .ZN(N88) ); AN2D0 U138 ( .A1(DP_OP_13_311_5088_n44 ), .A2(n62), .Z(n41) ); NR2D0 U139 ( .A1(n41), .A2(DP_OP_13_311_5088_n45 ), .ZN(n42) ); AOI211D0 U140 ( .A1(n41), .A2(DP_OP_13_311_5088_n45 ), .B(n67), .C(n42), .ZN(N90) ); AN2D0 U141 ( .A1(DP_OP_13_311_5088_n36 ), .A2(n61), .Z(n43) ); NR2D0 U142 ( .A1(n43), .A2(DP_OP_13_311_5088_n37 ), .ZN(n44) ); AOI211D0 U143 ( .A1(n43), .A2(DP_OP_13_311_5088_n37 ), .B(n67), .C(n44), .ZN(N92) ); IND2D0 U144 ( .A1(DP_OP_13_311_5088_n131 ), .B1(DP_OP_13_311_5088_n132 ), .ZN(n45) ); NR2D0 U145 ( .A1(C1_DATA2_0 ), .A2(n45), .ZN(n46) ); AOI211D0 U146 ( .A1(C1_DATA2_0 ), .A2(n45), .B(n67), .C(n46), .ZN(N71) ); OAI21D0 U147 ( .A1(DP_OP_13_311_5088_n127 ), .A2(DP_OP_13_311_5088_n129 ), .B(DP_OP_13_311_5088_n128 ), .ZN(n47) ); INR2D0 U148 ( .A1(DP_OP_13_311_5088_n125 ), .B1(DP_OP_13_311_5088_n124 ), .ZN(n48) ); NR2D0 U149 ( .A1(n47), .A2(n48), .ZN(n49) ); AOI211D0 U150 ( .A1(n47), .A2(n48), .B(n67), .C(n49), .ZN(N73) ); OAI21D0 U151 ( .A1(DP_OP_13_311_5088_n108 ), .A2(DP_OP_13_311_5088_n110 ), .B(DP_OP_13_311_5088_n109 ), .ZN(n50) ); INR2D0 U152 ( .A1(DP_OP_13_311_5088_n106 ), .B1(DP_OP_13_311_5088_n105 ), .ZN(n51) ); NR2D0 U153 ( .A1(n50), .A2(n51), .ZN(n52) ); AOI211D0 U154 ( .A1(n50), .A2(n51), .B(n67), .C(n52), .ZN(N77) ); OAI21D0 U155 ( .A1(DP_OP_13_311_5088_n78 ), .A2(DP_OP_13_311_5088_n99 ), .B(DP_OP_13_311_5088_n79 ), .ZN(n53) ); INR2D0 U156 ( .A1(DP_OP_13_311_5088_n76 ), .B1(DP_OP_13_311_5088_n75 ), .ZN(n54) ); NR2D0 U157 ( .A1(n53), .A2(n54), .ZN(n55) ); AOI211D0 U158 ( .A1(n53), .A2(n54), .B(n67), .C(n55), .ZN(N82) ); CKND0 U159 ( .I(count[31]), .ZN(n56) ); MUX2ND0 U160 ( .I0(n56), .I1(count[31]), .S(DP_OP_13_311_5088_n192 ), .ZN( n57) ); NR2D0 U161 ( .A1(DP_OP_13_311_5088_n24 ), .A2(n57), .ZN(n58) ); AOI211D0 U162 ( .A1(DP_OP_13_311_5088_n24 ), .A2(n57), .B(n67), .C(n58), .ZN(N101) ); XNR2D1 U163 ( .A1(up_down[0]), .A2(up_down[1]), .ZN(n67) ); CKND1 U164 ( .I(DP_OP_13_311_5088_n92 ), .ZN(DP_OP_13_311_5088_n90 ) ); CKND1 U165 ( .I(DP_OP_13_311_5088_n97 ), .ZN(DP_OP_13_311_5088_n149 ) ); INVD1 U166 ( .I(reset), .ZN(n68) ); INVD1 U167 ( .I(DP_OP_13_311_5088_n130 ), .ZN(DP_OP_13_311_5088_n129 ) ); INVD1 U168 ( .I(DP_OP_13_311_5088_n118 ), .ZN(DP_OP_13_311_5088_n153 ) ); INVD1 U169 ( .I(DP_OP_13_311_5088_n121 ), .ZN(DP_OP_13_311_5088_n120 ) ); INVD1 U170 ( .I(DP_OP_13_311_5088_n87 ), .ZN(DP_OP_13_311_5088_n85 ) ); INVD1 U171 ( .I(DP_OP_13_311_5088_n93 ), .ZN(DP_OP_13_311_5088_n91 ) ); INVD1 U172 ( .I(DP_OP_13_311_5088_n100 ), .ZN(DP_OP_13_311_5088_n99 ) ); AO21D1 U173 ( .A1(DP_OP_13_311_5088_n37 ), .A2(n61), .B( DP_OP_13_311_5088_n34 ), .Z(n60) ); INR2D1 U174 ( .A1(C1_DATA2_8 ), .B1(n67), .ZN(N78) ); OR2D1 U175 ( .A1(count[22]), .A2(DP_OP_13_311_5088_n192 ), .Z(n61) ); OR2D1 U176 ( .A1(count[20]), .A2(DP_OP_13_311_5088_n192 ), .Z(n62) ); OR2D1 U177 ( .A1(count[18]), .A2(DP_OP_13_311_5088_n192 ), .Z(n63) ); OR2D1 U178 ( .A1(count[16]), .A2(DP_OP_13_311_5088_n192 ), .Z(n64) ); OR2D1 U179 ( .A1(count[14]), .A2(DP_OP_13_311_5088_n192 ), .Z(n65) ); PDUW1216CDG_SD in1 ( .PAD(reset_in), .C(reset) ); PDUW1216CDG_SD in2 ( .PAD(clk_in), .C(clk) ); PDUW1216CDG_SD in3_0 ( .PAD(up_down_in[0]), .C(up_down[0]) ); PDUW1216CDG_SD in3_1 ( .PAD(up_down_in[1]), .C(up_down[1]) ); PDUW1216CDG_SD cnt_0 ( .I(count[0]), .PAD(count_out[0]) ); PDUW1216CDG_SD cnt_1 ( .I(count[1]), .PAD(count_out[1]) ); PDUW1216CDG_SD cnt_2 ( .I(count[2]), .PAD(count_out[2]) ); PDUW1216CDG_SD cnt_3 ( .I(count[3]), .PAD(count_out[3]) ); PDUW1216CDG_SD cnt_4 ( .I(count[4]), .PAD(count_out[4]) ); PDUW1216CDG_SD cnt_5 ( .I(count[5]), .PAD(count_out[5]) ); PDUW1216CDG_SD cnt_6 ( .I(count[6]), .PAD(count_out[6]) ); PDUW1216CDG_SD cnt_7 ( .I(count[7]), .PAD(count_out[7]) ); PDUW1216CDG_SD cnt_8 ( .I(count[8]), .PAD(count_out[8]) ); PDUW1216CDG_SD cnt_9 ( .I(count[9]), .PAD(count_out[9]) ); PDUW1216CDG_SD cnt_10 ( .I(count[10]), .PAD(count_out[10]) ); PDUW1216CDG_SD cnt_11 ( .I(count[11]), .PAD(count_out[11]) ); PDUW1216CDG_SD cnt_12 ( .I(count[12]), .PAD(count_out[12]) ); PDUW1216CDG_SD cnt_13 ( .I(count[13]), .PAD(count_out[13]) ); PDUW1216CDG_SD cnt_14 ( .I(count[14]), .PAD(count_out[14]) ); PDUW1216CDG_SD cnt_15 ( .I(count[15]), .PAD(count_out[15]) ); PDUW1216CDG_SD cnt_16 ( .I(count[16]), .PAD(count_out[16]) ); PDUW1216CDG_SD cnt_17 ( .I(count[17]), .PAD(count_out[17]) ); PDUW1216CDG_SD cnt_18 ( .I(count[18]), .PAD(count_out[18]) ); PDUW1216CDG_SD cnt_19 ( .I(count[19]), .PAD(count_out[19]) ); PDUW1216CDG_SD cnt_20 ( .I(count[20]), .PAD(count_out[20]) ); PDUW1216CDG_SD cnt_21 ( .I(count[21]), .PAD(count_out[21]) ); PDUW1216CDG_SD cnt_22 ( .I(count[22]), .PAD(count_out[22]) ); PDUW1216CDG_SD cnt_23 ( .I(count[23]), .PAD(count_out[23]) ); PDUW1216CDG_SD cnt_24 ( .I(count[24]), .PAD(count_out[24]) ); PDUW1216CDG_SD cnt_25 ( .I(count[25]), .PAD(count_out[25]) ); PDUW1216CDG_SD cnt_26 ( .I(count[26]), .PAD(count_out[26]) ); PDUW1216CDG_SD cnt_27 ( .I(count[27]), .PAD(count_out[27]) ); PDUW1216CDG_SD cnt_28 ( .I(count[28]), .PAD(count_out[28]) ); PDUW1216CDG_SD cnt_29 ( .I(count[29]), .PAD(count_out[29]) ); PDUW1216CDG_SD cnt_30 ( .I(count[30]), .PAD(count_out[30]) ); PDUW1216CDG_SD cnt_31 ( .I(count[31]), .PAD(count_out[31]) ); endmodule